Follow
Hoi-Jun Yoo
Hoi-Jun Yoo
Professor of Electrical Engineering, KAIST
No verified email
Title
Cited by
Cited by
Year
Neuro-inspired computing chips
W Zhang, B Gao, J Tang, P Yao, S Yu, MF Chang, HJ Yoo, H Qian, H Wu
Nature electronics 3 (7), 371-382, 2020
4822020
1.25-Gb/s regulated cascode CMOS transimpedance amplifier for gigabit ethernet applications
SM Park, HJ Yoo
IEEE Journal of Solid-State Circuits 39 (1), 112-121, 2004
4432004
The human body characteristics as a signal transmission medium for intrabody communication
N Cho, J Yoo, SJ Song, J Lee, S Jeon, HJ Yoo
IEEE transactions on microwave theory and techniques 55 (5), 1080-1086, 2007
4292007
14.2 DNPU: An 8.1 TOPS/W reconfigurable CNN-RNN processor for general-purpose deep neural networks
D Shin, J Lee, J Lee, HJ Yoo
2017 IEEE International Solid-State Circuits Conference (ISSCC), 240-241, 2017
3442017
A 5.2 mW Self-Configured Wearable Body Sensor Network Controller and a 12W Wirelessly Powered Sensor for a Continuous Health Monitoring System
J Yoo, L Yan, S Lee, Y Kim, HJ Yoo
IEEE journal of solid-state circuits 45 (1), 178-188, 2009
3222009
The signal transmission mechanism on the surface of human body for body channel communication
J Bae, H Cho, K Song, H Lee, HJ Yoo
IEEE Transactions on microwave theory and techniques 60 (3), 582-593, 2012
3042012
UNPU: An energy-efficient deep neural network accelerator with fully variable weight bit precision
J Lee, C Kim, S Kang, D Shin, S Kim, HJ Yoo
IEEE Journal of Solid-State Circuits 54 (1), 173-185, 2018
2942018
UNPU: A 50.6 TOPS/W unified deep neural network accelerator with 1b-to-16b fully-variable weight bit-precision
J Lee, C Kim, S Kang, D Shin, S Kim, HJ Yoo
2018 IEEE International Solid-State Circuits Conference-(ISSCC), 218-220, 2018
2762018
Low-power network-on-chip for high-performance SoC design
K Lee, SJ Lee, HJ Yoo
IEEE transactions on very large scale integration (vlsi) systems 14 (2), 148-160, 2006
2542006
A 5.1-/spl mu/W UHF RFID tag chip integrated with sensors for wireless environmental monitoring
N Cho, SJ Song, S Kim, S Kim, HJ Yoo
Proceedings of the 31st European Solid-State Circuits Conference, 2005 …, 2005
2522005
A wearable ECG acquisition system with compact planar-fashionable circuit board-based shirt
J Yoo, L Yan, S Lee, H Kim, HJ Yoo
IEEE transactions on information technology in Biomedicine 13 (6), 897-902, 2009
2402009
Electrical characterization of screen-printed circuits on the fabric
Y Kim, H Kim, HJ Yoo
IEEE transactions on advanced packaging 33 (1), 196-205, 2009
2382009
ECG signal compression and classification algorithm with quad level vector for ECG holter system
H Kim, RF Yazicioglu, P Merken, C Van Hoof, HJ Yoo
IEEE Transactions on Information Technology in Biomedicine 14 (1), 93-100, 2009
2122009
Toward all-day wearable health monitoring: An ultralow-power, reflective organic pulse oximetry sensing patch
H Lee, E Kim, Y Lee, H Kim, J Lee, M Kim, HJ Yoo, S Yoo
Science advances 4 (11), eaas9530, 2018
2112018
A low energy injection-locked FSK transceiver with frequency-to-amplitude conversion for body sensor applications
J Bae, L Yan, HJ Yoo
IEEE Journal of Solid-state circuits 46 (4), 928-937, 2011
1752011
14.6 A 0.62 mW ultra-low-power convolutional-neural-network face-recognition processor and a CIS integrated with always-on haar-like face detector
K Bong, S Choi, C Kim, S Kang, Y Kim, HJ Yoo
2017 IEEE International Solid-State Circuits Conference (ISSCC), 248-249, 2017
1732017
A 0.2-mW 2-Mb/s digital transceiver based on wideband signaling for human body communications
SJ Song, N Cho, HJ Yoo
IEEE Journal of Solid-State Circuits 42 (9), 2021-2033, 2007
1702007
A 3.9 mW 25-electrode reconfigured sensor for wearable cardiac monitoring system
L Yan, J Bae, S Lee, T Roh, K Song, HJ Yoo
IEEE Journal of Solid-State Circuits 46 (1), 353-364, 2010
1592010
4.6 A1. 93TOPS/W scalable deep learning/inference processor with tetra-parallel MIMD architecture for big-data applications
S Park, K Bong, D Shin, J Lee, S Choi, HJ Yoo
2015 IEEE International Solid-State Circuits Conference-(ISSCC) Digest of …, 2015
158*2015
A 0.24-nJ/b wireless body-area-network transceiver with scalable double-FSK modulation
J Bae, K Song, H Lee, H Cho, HJ Yoo
IEEE Journal of Solid-State Circuits 47 (1), 310-322, 2011
1572011
The system can't perform the operation now. Try again later.
Articles 1–20