Folgen
Abhishek Bhattacharjee
Abhishek Bhattacharjee
A. Bartlett Giamatti Professor of Computer Science, Yale University
Bestätigte E-Mail-Adresse bei cs.yale.edu - Startseite
Titel
Zitiert von
Zitiert von
Jahr
Coscale: Coordinating cpu and memory system dvfs in server systems
Q Deng, D Meisner, A Bhattacharjee, TF Wenisch, R Bianchini
2012 45th annual IEEE/ACM international symposium on microarchitecture, 143-154, 2012
2832012
Colt: Coalesced large-reach tlbs
B Pham, V Vaidyanathan, A Jaleel, A Bhattacharjee
2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, 258-269, 2012
2572012
Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors
A Bhattacharjee, M Martonosi
ACM SIGARCH Computer Architecture News 37 (3), 290-301, 2009
2452009
Architectural Support for Address Translation on GPUs
B Pichai, L Hsu, A Bhattacharjee
202*2014
Increasing TLB reach by exploiting clustering in page translations
B Pham, A Bhattacharjee, Y Eckert, GH Loh
2014 IEEE 20th International Symposium on High Performance Computer …, 2014
1902014
Shared last-level TLBs for chip multiprocessors
A Bhattacharjee, D Lustig, M Martonosi
2011 IEEE 17th International Symposium on High Performance Computer …, 2011
1902011
Large-reach memory management unit caches
A Bhattacharjee
Proceedings of the 46th Annual IEEE/ACM International Symposium on …, 2013
1782013
Nimble page management for tiered memory systems
Z Yan, D Lustig, D Nellans, A Bhattacharjee
Proceedings of the Twenty-Fourth International Conference on Architectural …, 2019
1692019
Inter-core cooperative TLB for chip multiprocessors
A Bhattacharjee, M Martonosi
ACM Sigplan Notices 45 (3), 359-370, 2010
1512010
Efficient address translation for architectures with multiple page sizes
G Cox, A Bhattacharjee
ACM SIGPLAN Notices 52 (4), 435-448, 2017
1402017
Large pages and lightweight memory management in virtualized environments: Can you have it both ways?
B Pham, J Veselý, GH Loh, A Bhattacharjee
Proceedings of the 48th International Symposium on Microarchitecture, 1-12, 2015
1372015
Characterizing the TLB behavior of emerging parallel workloads on chip multiprocessors
A Bhattacharjee, M Martonosi
2009 18th International Conference on Parallel Architectures and Compilation …, 2009
1352009
4D printing of shape memory materials for textiles: mechanism, mathematical modeling, and challenges
MC Biswas, S Chakraborty, A Bhattacharjee, Z Mohammed
Advanced Functional Materials 31 (19), 2100257, 2021
1312021
Observations and opportunities in architecting shared virtual memory for heterogeneous systems
J Vesely, A Basu, M Oskin, GH Loh, A Bhattacharjee
2016 IEEE International Symposium on Performance Analysis of Systems and …, 2016
1182016
MultiScale: memory system DVFS with multiple memory controllers
Q Deng, D Meisner, A Bhattacharjee, TF Wenisch, R Bianchini
Proceedings of the 2012 ACM/IEEE international symposium on Low power …, 2012
1022012
TLB improvements for chip multiprocessors: Inter-core cooperative prefetchers and shared last-level TLBs
D Lustig, A Bhattacharjee, M Martonosi
ACM Transactions on Architecture and Code Optimization (TACO) 10 (1), 1-38, 2013
932013
Mind: In-network memory management for disaggregated data centers
S Lee, Y Yu, Y Tang, A Khandelwal, L Zhong, A Bhattacharjee
Proceedings of the ACM SIGOPS 28th Symposium on Operating Systems Principles …, 2021
862021
Translation-triggered prefetching
A Bhattacharjee
Proceedings of the Twenty-Second International Conference on Architectural …, 2017
822017
Mitosis: Transparently self-replicating page-tables for large-memory machines
R Achermann, A Panwar, A Bhattacharjee, T Roscoe, J Gandhi
Proceedings of the Twenty-Fifth International Conference on Architectural …, 2020
692020
Translation ranger: Operating system support for contiguity-aware tlbs
Z Yan, D Lustig, D Nellans, A Bhattacharjee
Proceedings of the 46th International Symposium on Computer Architecture …, 2019
692019
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20