Follow
David Atienza
David Atienza
Professor of Electrical and Computer Engineering, EPFL
Verified email at epfl.ch - Homepage
Title
Cited by
Cited by
Year
Compressed sensing for real-time energy-efficient ECG compression on wireless body sensor nodes
H Mamaghanian, N Khaled, D Atienza, P Vandergheynst
IEEE Transactions on Biomedical Engineering 58 (9), 2456-2466, 2011
8832011
3D-ICE: A compact thermal model for early-stage design of liquid-cooled ICs
A Sridhar, A Vincenzi, D Atienza, T Brunschwiler
IEEE Transactions on Computers 63 (10), 2576-2589, 2014
497*2014
HOLLOWS: A power-aware task scheduler for energy harvesting sensor nodes
JR Piorno, C Bergonzini, D Atienza, TS Rosing
Journal of Intelligent Material Systems and Structures 21 (13), 1317-1335, 2010
360*2010
The COUGHVID crowdsourcing dataset, a corpus for the study of large-scale cough analysis algorithms
L Orlandic, T Teijeiro, D Atienza
Scientific Data 8 (1), 156, 2021
2802021
Designing application-specific networks on chips with floorplan information
S Murali, P Meloni, F Angiolini, D Atienza, S Carta, L Benini, G De Micheli, ...
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided …, 2006
2562006
Dynamic thermal management in 3D multicore architectures
AK Coskun, JL Ayala, D Atienza, TS Rosing, Y Leblebici
2009 Design, Automation & Test in Europe Conference & Exhibition, 1410-1415, 2009
2532009
Network-on-chip design and synthesis outlook
D Atienza, F Angiolini, S Murali, A Pullini, L Benini, G De Micheli
Integration 41 (3), 340-359, 2008
2032008
An integrated hardware/software approach for run-time scratchpad management
P Francesco, P Marchal, D Atienza, L Benini, F Catthoor, JM Mendias
Proceedings of the 41st Annual Design Automation Conference, 238-243, 2004
1932004
A complete network-on-chip emulation framework
N Genko, D Atienza, G De Micheli, JM Mendias, R Hermida, F Catthoor
Design, Automation and Test in Europe, 246-251, 2005
1872005
Temperature-aware processor frequency assignment for mpsocs using convex optimization
S Murali, A Mutapcic, D Atienza, R Gupta, S Boyd, G De Micheli
Proceedings of the 5th IEEE/ACM international conference on Hardware …, 2007
1692007
Online obstructive sleep apnea detection on medical wearable sensors
G Surrel, A Aminifar, F Rincón, S Murali, D Atienza
IEEE transactions on biomedical circuits and systems 12 (4), 762-773, 2018
150*2018
Energy-efficient variable-flow liquid cooling in 3D stacked architectures
AK Coskun, D Atienza, TS Rosing, T Brunschwiler, B Michel
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010 …, 2010
1382010
Temperature control of high-performance multi-core platforms using convex optimization
S Murali, A Mutapcic, D Atienza, R Gupta, S Boyd, L Benini, G De Micheli
Proceedings of the conference on Design, automation and test in Europe, 110-115, 2008
1372008
Development and evaluation of multilead wavelet-based ECG delineation algorithms for embedded wireless sensor nodes
F Rincón, J Recas, N Khaled, D Atienza
IEEE Transactions on Information Technology in Biomedicine 15 (6), 854-863, 2011
1362011
Real-time event-driven classification technique for early detection and prevention of myocardial infarction on wearable systems
D Sopic, A Aminifar, A Aminifar, D Atienza
IEEE transactions on biomedical circuits and systems 12 (5), 982-992, 2018
117*2018
Feature-NoC emulation: a tool and design flow for MPSoC
N Genko, D Atienza, G De Micheli, L Benini
IEEE Circuits and Systems Magazine 7 (4), 42-51, 2007
113*2007
A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip
S Murali, D Atienza, L Benini, G De Michel
Proceedings of the 43rd annual Design Automation Conference, 845-848, 2006
1132006
A mapping flow for dynamically reconfigurable multi-core system-on-chip design
I Beretta, V Rana, D Atienza, D Sciuto
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
1092011
Integrating heuristic and machine-learning methods for efficient virtual machine allocation in data centers
A Pahlevan, X Qu, M Zapater, D Atienza
IEEE transactions on computer-aided design of integrated circuits and …, 2017
107*2017
Bringing NoCs to 65 nm
A Pullini, F Angiolini, S Murali, D Atienza, G De Micheli, L Benini
IEEE Micro 27 (5), 75-85, 2007
1002007
The system can't perform the operation now. Try again later.
Articles 1–20