Folgen
Shin-haeng Kang
Shin-haeng Kang
Principal Engineer, Samsung Electronics.
Bestätigte E-Mail-Adresse bei snu.ac.kr
Titel
Zitiert von
Zitiert von
Jahr
Hardware architecture and software stack for PIM based on commercial DRAM technology: Industrial product
S Lee, S Kang, J Lee, H Kim, E Lee, S Seo, H Yoon, S Lee, K Lim, H Shin, ...
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021
1542021
Scenario-based design flow for mapping streaming applications onto on-chip many-core systems
L Schor, I Bacivarov, D Rai, H Yang, SH Kang, L Thiele
Proceedings of the 2012 international conference on Compilers, architectures …, 2012
1532012
25.4 a 20nm 6gb function-in-memory dram, based on hbm2 with a 1.2 tflops programmable computing unit using bank-level parallelism, for machine learning applications
YC Kwon, SH Lee, J Lee, SH Kwon, JM Ryu, JP Son, O Seongil, HS Yu, ...
2021 IEEE International Solid-State Circuits Conference (ISSCC) 64, 350-352, 2021
1312021
Near-memory processing in action: Accelerating personalized recommendation with axdimm
L Ke, X Zhang, J So, JG Lee, SH Kang, S Lee, S Han, YG Cho, JH Kim, ...
IEEE Micro 42 (1), 116-127, 2021
702021
Multi-objective mapping optimization via problem decomposition for many-core systems
SH Kang, H Yang, L Schor, I Bacivarov, S Ha, L Thiele
2012 IEEE 10th Symposium on Embedded Systems for Real-time Multimedia, 28-37, 2012
482012
Static mapping of mixed-critical applications for fault-tolerant MPSoCs
S Kang, H Yang, S Kim, I Bacivarov, S Ha, L Thiele
Proceedings of the 51st annual design automation conference, 1-6, 2014
452014
Dynamic behavior specification and dynamic mapping for real-time embedded systems: Hopes approach
H Jung, C Lee, SH Kang, S Kim, H Oh, S Ha
ACM Transactions on Embedded Computing Systems (TECS) 13 (4s), 1-26, 2014
382014
Reliability-aware mapping optimization of multi-core systems with mixed-criticality
SH Kang, H Yang, S Kim, I Bacivarov, S Ha, L Thiele
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-4, 2014
282014
Aquabolt-XL: Samsung HBM2-PIM with in-memory processing for ML accelerators and beyond
JH Kim, S Kang, S Lee, H Kim, W Song, Y Ro, S Lee, D Wang, H Shin, ...
2021 IEEE Hot Chips 33 Symposium (HCS), 1-26, 2021
272021
TQSIM: A fast cycle-approximate processor simulator based on QEMU
S Kang, D Yoo, S Ha
Journal of Systems Architecture 66, 33-47, 2016
202016
An ILP-based worst-case performance analysis technique for distributed real-time embedded systems
J Kim, H Oh, H Ha, SH Kang, J Choi, S Ha
2012 IEEE 33rd Real-Time Systems Symposium, 363-372, 2012
182012
Aquabolt-XL HBM2-PIM, LPDDR5-PIM with in-memory processing, and AXDIMM with acceleration buffer
JH Kim, SH Kang, S Lee, H Kim, Y Ro, S Lee, D Wang, J Choi, J So, ...
IEEE Micro 42 (3), 20-30, 2022
132022
Real-time co-scheduling of multiple dataflow graphs on multi-processor systems
S Kang, D Kang, H Yang, S Ha
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
122016
Seongil O, Anand Iyer, David Wang, Kyomin Sohn, and Nam Sung Kim. 2021. Hardware Architecture and Software Stack for PIM Based on Commercial DRAM Technology
S Lee, S Kang, J Lee, H Kim, E Lee, S Seo, H Yoon, S Lee, K Lim, H Shin, ...
Proceedings of the 48th International Symposium on Computer Architecture …, 2021
92021
Optimal checkpoint selection with dual-modular redundancy hardening
SH Kang, H Park, S Kim, H Oh, S Ha
IEEE Transactions on Computers 64 (7), 2036-2048, 2014
92014
An fpga-based rnn-t inference accelerator with pim-hbm
S Kang, S Lee, B Kim, H Kim, K Sohn, NS Kim, E Lee
Proceedings of the 2022 ACM/SIGDA International Symposium on Field …, 2022
82022
Jinin So, YeonGon Cho, JoonHo Song, Jangseok Choi, Jeonghyeon Cho, Kyomin Sohn, Youngsoo Sohn, Kwangil Park, and Nam Sung Kim. 2021. Aquabolt-xl: Samsung hbm2-pim with in …
JH Kim, S Kang, S Lee, H Kim
2021 IEEE Hot Chips 33, 1-26, 0
6
Samsung PIM/PNM for Transfmer Based AI: Energy Efficiency on PIM/PNM Cluster
JH Kim, Y Ro, J So, S Lee, S Kang, YG Cho, H Kim, B Kim, K Kim, S Park, ...
2023 IEEE Hot Chips 35 Symposium (HCS), 1-31, 2023
22023
An architecture of sparse length sum accelerator in axdimm
S Kang, B Kim, S Lee, K Sohn
2022 IEEE 4th International Conference on Artificial Intelligence Circuits …, 2022
22022
Fast parallel simulation of a manycore architecture with a flit-level on-chip network model
S Kang, J Kang, S Ha
Proceedings of the 18th International Conference on Embedded Computer …, 2018
22018
Das System kann den Vorgang jetzt nicht ausführen. Versuchen Sie es später erneut.
Artikel 1–20