Follow
Tarek Ali
Tarek Ali
GlobalFoundries Dresden Module 1
Verified email at aucegypt.edu
Title
Cited by
Cited by
Year
High endurance ferroelectric hafnium oxide-based FeFET memory without retention penalty
T Ali, P Polakowski, S Riedel, T Büttner, T Kämpfe, M Rudolph, B Pätzold, ...
IEEE Transactions on Electron Devices 65 (9), 3769-3774, 2018
2292018
Silicon doped hafnium oxide (HSO) and hafnium zirconium oxide (HZO) based FeFET: A material relation to device physics
T Ali, P Polakowski, S Riedel, T Büttner, T Kämpfe, M Rudolph, B Pätzold, ...
Applied Physics Letters 112 (22), 2018
1262018
FeFET: A versatile CMOS compatible device with game-changing potential
S Beyer, S Dünkel, M Trentzsch, J Müller, A Hellmich, D Utess, J Paul, ...
2020 IEEE International Memory Workshop (IMW), 1-4, 2020
1142020
A multilevel FeFET memory device based on laminated HSO and HZO ferroelectric layers for high-density storage
T Ali, P Polakowski, K Kühnel, M Czernohorsky, T Kämpfe, M Rudolph, ...
2019 IEEE International Electron Devices Meeting (IEDM), 28.7. 1-28.7. 4, 2019
1042019
Local crystallographic phase detection and texture mapping in ferroelectric Zr doped HfO2 films by transmission-EBSD
M Lederer, T Kämpfe, R Olivo, D Lehninger, C Mart, S Kirbach, T Ali, ...
Applied Physics Letters 115 (22), 2019
1022019
Back‐End‐of‐Line Compatible Low Temperature Furnace Anneal for Ferroelectric Hafnium Zirconium Oxide Formation
D Lehninger, R Olivo, T Ali, M Lederer, T Kämpfe, C Mart, K Biedermann, ...
physica status solidi (a), 2020
972020
SoC compatible 1T1C FeRAM memory array based on ferroelectric Hf0. 5Zr0. 5O2
J Okuno, T Kunihiro, K Konishi, H Maemura, Y Shuto, F Sugaya, ...
2020 IEEE Symposium on VLSI Technology, 1-2, 2020
932020
Ultra-Low Power Flexible Precision FeFET Based Analog In-Memory Computing
T Soliman, F Müller, T Kirchner, T Hoffmann, H Ganem, E Karimov, T Ali, ...
822021
Ferroelectric field effect transistors as a synapse for neuromorphic application
M Lederer, T Kämpfe, T Ali, F Müller, R Olivo, R Hoffmann, N Laleni, ...
IEEE Transactions on Electron Devices 68 (5), 2295-2300, 2021
702021
A Scalable Design of Multi-Bit Ferroelectric Content Addressable Memory for Data-Centric Computing
C Li, F Müller, T Ali, R Olivo, M Imani, S Deng, C Zhuo, T Kämpfe, X Yin, ...
662021
Structural and electrical comparison of Si and Zr doped hafnium oxide thin films and integrated FeFETs utilizing transmission Kikuchi diffraction
M Lederer, T Kämpfe, N Vogel, D Utess, B Volkmann, T Ali, R Olivo, ...
Nanomaterials 10 (2), 384, 2020
562020
Random and Systematic Variation in Nanoscale Hf0. 5Zr0. 5O2 Ferroelectric FinFETs: Physical Origin and Neuromorphic Circuit Implications
S De, A Baig, BH Qiu, F Müller, HH Le, M Lederer, T Kämpfe, T Ali, ...
Frontiers in Nanotechnology, 108, 2022
422022
Interplay Between Switching and Retention in HfO2-Based Ferroelectric FETs
H Mulaosmanovic, F Müller, M Lederer, T Ali, R Hoffmann, K Seidel, ...
IEEE Transactions on Electron Devices 67 (8), 3466-3471, 2020
392020
High-endurance and low-voltage operation of 1T1C FeRAM arrays for nonvolatile memory application
J Okuno, T Kunihiro, K Konishi, H Maemura, Y Shuto, F Sugaya, ...
2021 IEEE International Memory Workshop (IMW), 1-3, 2021
372021
Read-optimized 28nm hkmg multibit fefet synapses for inference-engine applications
S De, F Müller, HH Le, M Lederer, Y Raffel, T Ali, D Lu, T Kämpfe
IEEE Journal of the Electron Devices Society 10, 637-641, 2022
352022
A Fully Integrated Ferroelectric Thin‐Film‐Transistor–Influence of Device Scaling on Threshold Voltage Compensation in Displays
D Lehninger, M Ellinger, T Ali, S Li, K Mertens, M Lederer, R Olivio, ...
Advanced Electronic Materials, 2100082, 2021
352021
1T1C FeRAM memory array based on ferroelectric HZO with capacitor under bitline
J Okuno, T Kunihiro, K Konishi, M Materano, T Ali, K Kuehnel, K Seidel, ...
IEEE Journal of the Electron Devices Society 10, 29-34, 2021
322021
Fluorite‐Structured Ferroelectric and Antiferroelectric Materials: A Gateway of Miniaturized Electronic Devices
F Ali, T Ali, D Lehninger, A Sünbül, A Viegas, R Sachdeva, A Abbas, ...
Advanced Functional Materials 32 (27), 2201737, 2022
312022
28 nm hkmg-based current limited fefet crossbar-array for inference application
S De, F Müller, S Thunder, S Abdulazhanov, N Laleni, M Lederer, T Ali, ...
IEEE Transactions on Electron Devices 69 (12), 7194-7198, 2022
272022
Demonstration of Multiply-Accumulate Operation with 28 nm FeFET Crossbar Array
S De, F Muller, N Laleni, M Lederer, Y Raffel, S Mojumder, A Vardar, ...
242022
The system can't perform the operation now. Try again later.
Articles 1–20