Follow
Rongmei Chen
Title
Cited by
Cited by
Year
Effects of total-ionizing-dose irradiation on SEU-and SET-induced soft errors in bulk 40-nm sequential circuits
RM Chen, ZJ Diggins, NN Mahatme, L Wang, EX Zhang, YP Chen, YN Liu, ...
IEEE Transactions on Nuclear Science 64 (1), 471-476, 2016
252016
Modeling the dependence of single-event transients on strike location for circuit-level simulation
L Ding, W Chen, T Wang, R Chen, Y Luo, F Zhang, X Pan, H Sun, L Chen
IEEE Transactions on Nuclear Science 66 (6), 866-874, 2019
242019
Single-event multiple transients in conventional and guard-ring hardened inverter chains under pulsed laser and heavy-ion irradiation
R Chen, F Zhang, W Chen, L Ding, X Guo, C Shen, Y Luo, W Zhao, ...
IEEE Transactions on Nuclear Science 64 (9), 2511-2518, 2017
222017
IR-drop analysis of hybrid bonded 3D-ICs with backside power delivery and μ-& n-TSVs
G Sisto, B Chehab, B Genneret, R Baert, R Chen, P Weckx, J Ryckaert, ...
2021 IEEE International Interconnect Technology Conference (IITC), 1-3, 2021
162021
3D-optimized SRAM macro design and application to memory-on-logic 3D-IC at advanced nodes
R Chen, P Weckx, SM Salahuddin, SW Kim, G Sisto, G Van Der Plas, ...
2020 IEEE International Electron Devices Meeting (IEDM), 15.2. 1-15.2. 4, 2020
162020
Investigation of Pt-salt-doped-standalone-multiwall carbon nanotubes for on-chip interconnect applications
J Liang, R Chen, R Ramos, J Lee, H Okuno, D Kalita, V Georgiev, ...
IEEE Transactions on Electron Devices 66 (5), 2346-2352, 2019
162019
Effects of temperature and supply voltage on SEU-and SET-induced errors in bulk 40-nm sequential circuits
RM Chen, ZJ Diggins, NN Mahatme, L Wang, EX Zhang, YP Chen, ...
IEEE Transactions on Nuclear Science 64 (8), 2122-2128, 2017
152017
Carbon nanotube SRAM in 5-nm technology node design, optimization, and performance evaluation—part I: CNFET transistor optimization
R Chen, L Chen, J Liang, Y Cheng, S Elloumi, J Lee, K Xu, VP Georgiev, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 30 (4), 432-439, 2022
142022
Variability study of MWCNT local interconnects considering defects and contact resistances—Part I: Pristine MWCNT
R Chen, J Liang, J Lee, VP Georgiev, R Ramos, H Okuno, D Kalita, ...
IEEE Transactions on Electron Devices 65 (11), 4955-4962, 2018
142018
Progress on carbon nanotube BEOL interconnects
B Uhlig, J Liang, J Lee, R Ramos, A Dhavamani, N Nagy, J Dijon, ...
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 937-942, 2018
132018
Recent progress and challenges regarding carbon nanotube on-chip interconnects
B Xu, R Chen, J Zhou, J Liang
Micromachines 13 (7), 1148, 2022
122022
Study of total-ionizing-dose effects on a single-event-hardened phase-locked loop
Z Chen, D Ding, Y Dong, Y Shan, S Zhou, Y Hu, Y Zheng, C Peng, ...
IEEE Transactions on Nuclear Science 65 (4), 997-1004, 2018
122018
Design enablement of fine pitch face-to-face 3D system integration using die-by-die place & route
G Sisto, P Debacker, R Chen, G Van Der Plas, R Chou, E Beyne, ...
2019 International 3D Systems Integration Conference (3DIC), 1-4, 2019
112019
Scaled FinFETs Connected by Using Both Wafer Sides for Routing via Buried Power Rails
A Veloso, A Jourdain, D Radisic, R Chen, G Arutchelvan, BO Sullivan, ...
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2022
102022
Design and optimization of SRAM macro and logic using backside interconnects at 2nm node
R Chen, G Sisto, A Jourdain, G Hiblot, M Stucchi, N Kakarla, B Chehab, ...
2021 IEEE International Electron Devices Meeting (IEDM), 22.4. 1-22.4. 4, 2021
102021
Research progress of radiation effects mechanisms and experimental techniques in nano-devices
W Chen, J Liu, X Ma, G Guo, Y Zhao, X Guo, Y Luo, Z Yao, L Ding, ...
Chinese Science Bulletin 63 (13), 1211-1222, 2018
102018
Impact of temporal masking of flip-flop upsets on soft error rates of sequential circuits
RM Chen, NN Mahatme, ZJ Diggins, L Wang, EX Zhang, YP Chen, YN Liu, ...
IEEE Transactions on Nuclear Science 64 (8), 2098-2106, 2017
102017
Improved on-chip self-triggered single-event transient measurement circuit design and applications
R Chen, W Chen, X Guo, C Shen, F Zhang, L Zheng, W Zhao, L Ding, ...
Microelectronics Reliability 71, 99-105, 2017
92017
Variability Study of MWCNT Local Interconnects Considering Defects and Contact Resistances--Part II: Impact of Charge Transfer Doping
R Chen, J Liang, J Lee, VP Georgiev, R Ramos, H Okuno, D Kalita, ...
IEEE Transactions on Electron Devices 65 (11), 4963-4970, 2018
82018
Atomistic-to circuit-level modeling of doped SWCNT for on-chip interconnects
J Liang, J Lee, S Berrada, VP Georgiev, R Pandey, R Chen, A Asenov, ...
IEEE Transactions on Nanotechnology 17 (6), 1084-1088, 2018
82018
The system can't perform the operation now. Try again later.
Articles 1–20