Follow
Benjamin J Fletcher
Benjamin J Fletcher
Verified email at ecs.soton.ac.uk
Title
Cited by
Cited by
Year
Momentum: Power-neutral performance scaling with intrinsic mppt for energy harvesting computing systems
D Balsamo, BJ Fletcher, AS Weddell, G Karatziolas, BM Al-Hashimi, ...
ACM Transactions on Embedded Computing Systems (TECS) 17 (6), 1-25, 2019
222019
Power neutral performance scaling for energy harvesting MP-SoCs
BJ Fletcher, D Balsamo, GV Merrett
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
192017
Design and optimization of inductive-coupling links for 3-D-ICs
BJ Fletcher, S Das, T Mak
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27 (3), 711-723, 2018
162018
A High-Speed Design Methodology for Inductive Coupling Links in 3D-ICs
BJ Fletcher, S Das, T Mak
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018 …, 2017
82017
Globally wireless locally wired (GloWiLoW): A clock distribution network for many-core systems
Q Ding, BJ Fletcher, T Mak
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2018
52018
CoDAPT: A concurrent data and power transceiver for fully wireless 3D-ICs
BJ Fletcher, S Das, T Mak
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2019
42019
Low-power 3D Integration using Inductive Coupling Links for Neurotechnology Applications
BJ Fletcher, S Das, CS Poon, T Mak
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018 …, 2018
42018
A 3D-Stacked Cortex-M0 SoC with 20.3Gbps/mm2 7.1mW/mm2 Simultaneous Wireless Inter-Tier Data and Power Transfer
BJ Fletcher, T Mak, S Das
2020 IEEE Symposium on VLSI Circuits, 1-2, 2020
22020
A spike-latency transceiver with tunable pulse control for low-energy wireless 3-D integration
BJ Fletcher, S Das, T Mak
IEEE Journal of Solid-State Circuits 55 (9), 2414-2428, 2020
22020
Cost-effective 3D-IC design using near-field inter-tier wireless communication
BJ Fletcher
University of Southampton, 2020
12020
A 10.8 pJ/bit pulse-position inductive transceiver for low-energy wireless 3D integration
BJ Fletcher, T Mak, S Das
ESSCIRC 2019-IEEE 45th European Solid State Circuits Conference (ESSCIRC …, 2019
12019
A low-energy inductive transceiver using spike-latency encoding for wireless 3D integration
BJ Fletcher, S Das, T Mak
2019 IEEE/ACM International Symposium on Low Power Electronics and Design …, 2019
12019
System-in-package architecture with wireless bus interconnect
BJ Fletcher, JE Myers, S Das, TST Mak
US Patent 11,366,779, 2022
2022
Adaptive coding for wireless communication
SSHU Gamage, BJ Fletcher, S Das
US Patent 10,771,187, 2020
2020
Cost-effective 3D integration using inductive coupling links: Can we make stacking silicon as easy as stacking Lego?
B Fletcher, S Das, T Mak
2018
Power-neutral performance scaling for self-powered multicore computing systems
D Balsamo, B Fletcher, G Merrett
Adaptive Many-Core Architectures and Systems Workshop, 2018
2018
Data-set supporting the article entitled" Power Neutral Performance Scaling with Intrinsic MPPT for Energy Harvesting Computing Systems"
D Balsamo, B Fletcher, A Weddell, G Karatziolas, B Al-Hashimi, G Merrett
University of Southampton, 2018
2018
Dataset supporting the paper entitled “Low-Power 3D Integration using Inductive Coupling Links for Neurotechnology Applications
B Fletcher, S Das, CS Poon, T Mak
University of Southampton, 2017
2017
Dataset supporting the paper entitled “A High-Speed Design Methodology for Inductive Coupling Links in 3D-ICs”
B Fletcher, S Das, T Mak
University of Southampton, 2017
2017
Dataset supporting the paper entitled “Power neutral performance scaling for energy harvesting MP-SoCs”
B Fletcher, D Balsamo, G Merrett
University of Southampton, 2016
2016
The system can't perform the operation now. Try again later.
Articles 1–20