Follow
Michael Hsiao
Michael Hsiao
Professor, Virginia Tech, IEEE Fellow
Verified email at vt.edu
Title
Cited by
Cited by
Year
Hardware Trojan attacks: Threat analysis and countermeasures
S Bhunia, MS Hsiao, M Banga, S Narasimhan
Proceedings of the IEEE 102 (8), 1229-1247, 2014
8462014
A region based approach for the identification of hardware Trojans
M Banga, MS Hsiao
2008 IEEE International Workshop on Hardware-Oriented Security and Trust, 40-47, 2008
3302008
A novel sustained vector technique for the detection of hardware Trojans
M Banga, MS Hsiao
2009 22nd international conference on VLSI design, 327-332, 2009
2552009
Denial-of-Service Attacks on Battery-powered Mobile Computers
T Martin, M Hsiao, D Ha, J Krishnaswami
IEEE International Conference on Pervasive Computing and Communications, 309-318, 2004
2512004
Sequential circuit test generation using dynamic state traversal
MS Hsiao, EM Rudnick, JH Patel
Proceedings European Design and Test Conference. ED & TC 97, 22-28, 1997
2121997
Protection against hardware trojan attacks: Towards a comprehensive solution
S Bhunia, M Abramovici, D Agrawal, P Bradley, MS Hsiao, J Plusquellic, ...
IEEE Design & Test 30 (3), 6-17, 2013
1762013
Cognitive radio and networking research at Virginia Tech
AB MacKenzie, JH Reed, P Athanas, CW Bostian, RM Buehrer, ...
Proceedings of the IEEE 97 (4), 660-688, 2009
1612009
Trusted RTL: Trojan detection methodology in pre-silicon designs
M Banga, MS Hsiao
2010 IEEE international symposium on hardware-oriented security and trust …, 2010
1472010
Towards an intrusion detection system for battery exhaustion attacks on mobile computing devices
DC Nash, TL Martin, DS Ha, MS Hsiao
Third IEEE international conference on pervasive computing and …, 2005
1342005
Guided test generation for isolation and detection of embedded Trojans in ICs
M Banga, M Chandrasekar, L Fang, MS Hsiao
Proceedings of the 18th ACM Great Lakes symposium on VLSI, 363-366, 2008
1212008
Compiler-directed dynamic voltage/frequency scheduling for energy reduction in microprocessors
CH Hsu, U Kremer, M Hsiao
Proceedings of the 2001 international symposium on low power electronics and …, 2001
1182001
Compiler-directed dynamic frequency and voltage scheduling
CH Hsu, U Kremer, M Hsiao
Power-Aware Computer Systems: First International Workshop, PACS 2000 …, 2001
1112001
Interlocking obfuscation for anti-tamper hardware
AR Desai, MS Hsiao, C Wang, L Nazhandali, S Hall
Proceedings of the eighth annual cyber security and information intelligence …, 2013
1102013
VITAMIN: Voltage inversion technique to ascertain malicious insertions in ICs
M Banga, MS Hsiao
2009 IEEE International Workshop on Hardware-Oriented Security and Trust …, 2009
992009
ALAPTF: A new transition fault model and the ATPG algorithm
P Gupta, MS Hsiao
2004 International Conferce on Test, 1053-1060, 2004
782004
Automatic test generation using genetically-engineered distinguishing sequences
MS Hsiao, EM Rudnick, JH Patel
Proceedings of 14th VLSI Test Symposium, 216-223, 1996
771996
Efficient sequential ATPG for functional RTL circuits
L Zhang, I Ghosh, M Hsiao
International Test Conference, 2003. Proceedings. ITC 2003., 290-290, 2003
752003
Testing, verification, and diagnosis in the presence of unknowns
A Jain, V Boppana, R Mukherjee, J Jain, M Fujita, M Hsiao
Proceedings 18th IEEE VLSI Test Symposium, 263-269, 2000
732000
A novel SAT all-solutions solver for efficient preimage computation
B Li, MS Hsiao, S Sheng
Proceedings of the Conference on Design, Automation and Test in Europe, 272-277, 2004
662004
Effects of delay models on peak power estimation of VLSI sequential circuits
Hsiao, Rudnick, Patel
1997 Proceedings of IEEE International Conference on Computer Aided Design …, 1997
651997
The system can't perform the operation now. Try again later.
Articles 1–20