Follow
Upavan Gupta
Upavan Gupta
Experian, HSBC, University of South Florida
Verified email at experian.com
Title
Cited by
Cited by
Year
An automated decision support system based on game theoretic optimization for emergency management in urban environments
N Ranganathan, U Gupta, R Shetty, A Murugavel
Journal of Homeland Security and Emergency Management 4 (2), 2007
372007
Multievent crisis management using noncooperative multistep games
U Gupta, N Ranganathan
IEEE Transactions on Computers 56 (5), 577-589, 2007
372007
A game theoretic approach for simultaneous compaction and equipartitioning of spatial data sets
U Gupta, N Ranganathan
IEEE Transactions on Knowledge and Data Engineering 22 (4), 465-478, 2010
312010
Social Fairness in Multi-Emergency Resource Management
U Gupta, N Ranganathan
2006 IEEE International Symposium on Technology and Society, 1-9, 2006
132006
Multi-event crisis management using non-cooperative repeated games
U Gupta
University of South Florida, 2004
122004
A utilitarian approach to variation aware delay, power, and crosstalk noise optimization
U Gupta, N Ranganathan
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19 (9 …, 2011
72011
Simultaneous optimization of total power, crosstalk noise, and delay under uncertainty
N Ranganathan, U Gupta, V Mahalingam
Proceedings of the 18th ACM Great Lakes symposium on VLSI, 171-176, 2008
62008
Firm: A game theory based multi-crisis management system for urban environments
U Gupta, N Ranganathan
Intl. Conf. on Sharing Solutions for Emergencies and Hazardous Environments, 2006
62006
A microeconomic approach to multi-robot team formation
U Gupta, N Ranganathan
2007 IEEE/RSJ International Conference on Intelligent Robots and Systems …, 2007
52007
An expected-utility based approach to variation aware VLSI optimization under scarce information
U Gupta, N Ranganathan
Proceedings of the 2008 international symposium on Low Power Electronics …, 2008
32008
Variation-aware multimetric optimization during gate sizing
N Ranganathan, U Gupta, V Mahalingam
ACM Transactions on Design Automation of Electronic Systems (TODAES) 14 (4), 54, 2009
12009
A microeconomic approach to multi-objective spatial clustering
U Gupta, N Ranganathan
Pattern Recognition, 2008. ICPR 2008. 19th International Conference on, 1-4, 2008
12008
Utilitarian approaches for multi-metric optimization in VLSI circuit design and spatial clustering
U Gupta
ProQuest, 2008
2008
The system can't perform the operation now. Try again later.
Articles 1–13