Suivre
Kyeongryeol Bong
Kyeongryeol Bong
Affiliation inconnue
Adresse e-mail validée de rebellions.ai
Titre
Citée par
Citée par
Année
14.6 A 0.62 mW ultra-low-power convolutional-neural-network face-recognition processor and a CIS integrated with always-on haar-like face detector
K Bong, S Choi, C Kim, S Kang, Y Kim, HJ Yoo
2017 IEEE International Solid-State Circuits Conference (ISSCC), 248-249, 2017
1762017
4.6 A1. 93TOPS/W scalable deep learning/inference processor with tetra-parallel MIMD architecture for big-data applications
S Park, K Bong, D Shin, J Lee, S Choi, HJ Yoo
2015 IEEE International Solid-State Circuits Conference-(ISSCC) Digest of …, 2015
1072015
A low-power convolutional neural network face recognition processor and a CIS integrated with always-on face detector
K Bong, S Choi, C Kim, D Han, HJ Yoo
IEEE Journal of Solid-State Circuits 53 (1), 115-123, 2017
1042017
An energy-efficient and scalable deep learning/inference processor with tetra-parallel MIMD architecture for big data applications
SW Park, J Park, K Bong, D Shin, J Lee, S Choi, HJ Yoo
IEEE transactions on biomedical circuits and systems 9 (6), 838-848, 2015
612015
A 1.93 tops/w scalable deep learning/inference processor with tetra-parallel mimd architecture for big data applications
HJ Yoo, S Park, K Bong, D Shin, J Lee, S Choi
IEEE international solid-state circuits conference, 80-81, 2015
582015
A 646GOPS/W multi-classifier many-core processor with cortex-like architecture for super-resolution recognition
J Park, I Hong, G Kim, Y Kim, K Lee, S Park, K Bong, HJ Yoo
2013 IEEE International Solid-State Circuits Conference Digest of Technical …, 2013
512013
A 1.22 TOPS and 1.52 mW/MHz augmented reality multicore processor with neural network NoC for HMD applications
G Kim, K Lee, Y Kim, S Park, I Hong, K Bong, HJ Yoo
IEEE Journal of Solid-State Circuits 50 (1), 113-124, 2014
492014
A 502-GOPS and 0.984-mW dual-mode intelligent ADAS SoC with real-time semiglobal matching and intention prediction for smart automotive black box system
KJ Lee, K Bong, C Kim, J Jang, KR Lee, J Lee, G Kim, HJ Yoo
IEEE Journal of Solid-State Circuits 52 (1), 139-150, 2016
372016
Low-power convolutional neural network processor for a face-recognition system
K Bong, S Choi, C Kim, HJ Yoo
IEEE Micro 37 (6), 30-38, 2017
342017
An ultra-low-power and mixed-mode event-driven face detection SoC for always-on mobile applications
C Kim, K Bong, I Hong, K Lee, S Choi, HJ Yoo
ESSCIRC 2017-43rd IEEE European Solid State Circuits Conference, 255-258, 2017
272017
A 2.71 nJ/pixel gaze-activated object recognition system for low-power mobile smart glasses
I Hong, K Bong, D Shin, S Park, KJ Lee, Y Kim, HJ Yoo
IEEE Journal of Solid-State Circuits 51 (1), 45-55, 2015
272015
14.2 a 502gops and 0.984 mw dual-mode adas soc with rnn-fis engine for intention prediction in automotive black-box system
KJ Lee, K Bong, C Kim, J Jang, H Kim, J Lee, KR Lee, G Kim, HJ Yoo
2016 IEEE International Solid-State Circuits Conference (ISSCC), 256-257, 2016
262016
Wearable mental-health monitoring platform with independent component analysis and nonlinear chaotic analysis
T Roh, K Bong, S Hong, H Cho, HJ Yoo
2012 annual international conference of the IEEE engineering in medicine and …, 2012
252012
An 87- Iontophoresis Controller IC With Dual-Mode Impedance Sensor for Patch-Type Transdermal Drug Delivery System
K Song, U Ha, J Lee, K Bong, HJ Yoo
IEEE Journal of solid-state circuits 49 (1), 167-178, 2013
212013
Low-power scalable 3-d face frontalization processor for cnn-based face recognition in mobile devices
S Kang, J Lee, K Bong, C Kim, Y Kim, HJ Yoo
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 8 (4 …, 2018
182018
A 24 μW 38.51 mΩrms resolution bio-impedance sensor with dual path instrumentation amplifier
K Kim, K Song, K Bong, J Lee, K Lee, Y Lee, U Ha, HJ Yoo
ESSCIRC 2017-43rd IEEE European Solid State Circuits Conference, 223-226, 2017
172017
A 0.5° error 10 mW CMOS image sensor-based gaze estimation processor
K Bong, I Hong, G Kim, HJ Yoo
IEEE Journal of Solid-State Circuits 51 (4), 1032-1040, 2016
122016
CNNP-v2: An energy efficient memory-centric convolutional neural network processor architecture
S Choi, K Bong, D Han, HJ Yoo
2019 IEEE International Conference on Artificial Intelligence Circuits and …, 2019
112019
18.1 A 2.71 nJ/pixel 3D-stacked gaze-activated object-recognition system for low-power mobile HMD applications
I Hong, K Bong, D Shin, S Park, K Lee, Y Kim, HJ Yoo
2015 IEEE International Solid-State Circuits Conference-(ISSCC) Digest of …, 2015
112015
An 1.61 mW mixed-signal column processor for BRISK feature extraction in CMOS image sensor
K Bong, G Kim, I Hong, H Yoo
2014 IEEE International Symposium on Circuits and Systems (ISCAS), 57-60, 2014
102014
Le système ne peut pas réaliser cette opération maintenant. Veuillez réessayer plus tard.
Articles 1–20