Follow
Houman Homayoun
Title
Cited by
Cited by
Year
Managing distributed ups energy for effective power capping in data centers
V Kontorinis, LE Zhang, B Aksanli, J Sampson, H Homayoun, E Pettis, ...
ACM SIGARCH Computer Architecture News 40 (3), 488-499, 2012
2702012
Ensemble learning for effective run-time hardware-based malware detection: A comprehensive analysis and classification
H Sayadi, N Patel, A Sasan, S Rafatirad, H Homayoun
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
1792018
SMT attack: Next generation attack on obfuscated circuits with capabilities and performance beyond the SAT attacks
KZ Azar, HM Kamali, H Homayoun, A Sasan
IACR Transactions on Cryptographic Hardware and Embedded Systems, 97-122, 2019
1522019
Full-lock: Hard distributions of sat instances for obfuscating circuits using fully configurable logic and routing blocks
HM Kamali, KZ Azar, H Homayoun, A Sasan
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
1352019
Lut-lock: A novel lut-based logic obfuscation for fpga-bitstream and asic-hardware protection
HM Kamali, KZ Azar, K Gaj, H Homayoun, A Sasan
2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 405-410, 2018
1182018
Analyzing hardware based malware detectors
N Patel, A Sasan, H Homayoun
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
1062017
Energy-efficient acceleration of big data analytics applications using FPGAs
K Neshatpour, M Malik, MA Ghodrat, A Sasan, H Homayoun
2015 IEEE International Conference on Big Data (Big Data), 115-123, 2015
882015
Pyramid: Machine learning framework to estimate the optimal timing and resource usage of a high-level synthesis design
HM Makrani, F Farahmand, H Sayadi, S Bondi, SMP Dinakarrao, ...
2019 29th International Conference on Field Programmable Logic and …, 2019
852019
Dynamically heterogeneous cores through 3D resource pooling
H Homayoun, V Kontorinis, A Shayan, TW Lin, DM Tullsen
IEEE International Symposium on High-Performance Comp Architecture, 1-12, 2012
822012
2smart: A two-stage machine learning-based approach for run-time specialized hardware-assisted malware detection
H Sayadi, HM Makrani, SMP Dinakarrao, T Mohsenin, A Sasan, ...
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 728-733, 2019
802019
Dopamine receptor mechanism (s) and morphine tolerance in mice
MR Zarrindast, Z Dinkoub, H Homayoun, A Bakhtiarian, S Khavandgar
Journal of Psychopharmacology 16 (3), 261-266, 2002
802002
Threats on logic locking: A decade later
K Zamiri Azar, H Mardani Kamali, H Homayoun, A Sasan
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 471-476, 2019
732019
Lightweight node-level malware detection and network-level malware confinement in iot networks
SMP Dinakarrao, H Sayadi, HM Makrani, C Nowzari, S Rafatirad, ...
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 776-781, 2019
702019
Machine learning-based approaches for energy-efficiency prediction and scheduling in composite cores architectures
H Sayadi, N Patel, A Sasan, H Homayoun
2017 IEEE international conference on computer design (ICCD), 129-136, 2017
662017
InterLock: An intercorrelated logic and routing locking
HM Kamali, KZ Azar, H Homayoun, A Sasan
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
652020
Customized machine learning-based hardware-assisted malware detection in embedded devices
H Sayadi, HM Makrani, O Randive, SM PD, S Rafatirad, H Homayoun
2018 17th IEEE International Conference On Trust, Security And Privacy In …, 2018
622018
Adversarial attack on microarchitectural events based malware detectors
SMP Dinakarrao, S Amberkar, S Bhat, A Dhavlle, H Sayadi, A Sasan, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
602019
Hybrid STT-CMOS designs for reverse-engineering prevention
T Winograd, H Salmani, H Mahmoodi, K Gaj, H Homayoun
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
592016
Outcomes of interventional-MRI versus microelectrode recording-guided subthalamic deep brain stimulation
PS Lee, GM Weiner, D Corson, J Kappel, YF Chang, VR Suski, ...
Frontiers in neurology 9, 241, 2018
572018
Security and complexity analysis of LUT-based obfuscation: From blueprint to reality
G Kolhe, HM Kamali, M Naicker, TD Sheaves, H Mahmoodi, PDS Manoj, ...
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
552019
The system can't perform the operation now. Try again later.
Articles 1–20