Follow
Fabrizio Lombardi
Fabrizio Lombardi
International Test Conference Endowed Chair Professor, Northeastern University
Verified email at ece.neu.edu
Title
Cited by
Cited by
Year
Approximate Computing
W Liu, F Lombardi
Springer, 2022
9792022
New metrics for the reliability of approximate and probabilistic adders
J Liang, J Han, F Lombardi
IEEE Transactions on computers 62 (9), 1760-1771, 2012
6342012
CNTFET-based design of ternary logic gates and arithmetic circuits
S Lin, Y Kim, F Lombardi
Nanotechnology, IEEE Transactions on, 1-1, 2009
6242009
Design and analysis of approximate compressors for multiplication
A Momeni, J Han, P Montuschi, F Lombardi
IEEE Transactions on Computers 64 (4), 984-994, 2014
5882014
A low-power, high-performance approximate multiplier with configurable partial error recovery
C Liu, J Han, F Lombardi
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-4, 2014
3812014
Approximate XOR/XNOR-based adders for inexact computing
Z Yang, A Jain, J Liang, J Han, F Lombardi
2013 13Th IEEE international conference on nanotechnology (IEEE-NANO 2013 …, 2013
3172013
Two-dimensional schemes for clocking/timing of QCA circuits
V Vankamamidi, M Ottavi, F Lombardi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2007
3172007
Design of approximate radix-4 booth multipliers for error-tolerant computing
W Liu, L Qian, C Wang, H Jiang, J Han, F Lombardi
IEEE Transactions on computers 66 (8), 1435-1441, 2017
2782017
A review, classification, and comparative evaluation of approximate arithmetic circuits
H Jiang, C Liu, L Liu, F Lombardi, J Han
ACM Journal on Emerging Technologies in Computing Systems (JETC) 13 (4), 1-34, 2017
2572017
Approximate radix-8 booth multipliers for low-power and high-performance operation
H Jiang, J Han, F Qiao, F Lombardi
IEEE Transactions on Computers 65 (8), 2638-2644, 2015
2252015
A comparative review and evaluation of approximate adders
H Jiang, J Han, F Lombardi
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, 343-348, 2015
2172015
Testing of quantum cellular automata
MB Tahoori, J Huang, M Momenzadeh, F Lombardi
IEEE Transactions on Nanotechnology 3 (4), 432-442, 2004
2132004
A novel CNTFET-based ternary logic gate design
S Lin, YB Kim, F Lombardi
2009 52nd IEEE International Midwest Symposium on Circuits and Systems, 435-438, 2009
2042009
Protocol conformance testing using multiple UIO sequences
YN Shen, F Lombardi, AT Dahbura
IEEE Transactions on Communications 40 (8), 1282-1287, 1992
1841992
Defects and faults in quantum cellular automata at nano scale
MB Tahoori, M Momenzadeh, J Huang, F Lombardi
22nd IEEE VLSI Test Symposium, 2004. Proceedings., 291-296, 2004
1702004
Design of a ternary memory cell using CNTFETs
S Lin, YB Kim, F Lombardi
IEEE transactions on nanotechnology 11 (5), 1019-1025, 2012
1672012
Characterization, test, and logic synthesis of and-or-inverter (AOI) gate design for QCA implementation
M Momenzadeh, J Huang, MB Tahoori, F Lombardi
Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions …, 2005
1672005
Inexact designs for approximate low power addition by cell replacement
HAF Almurib, TN Kumar, F Lombardi
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 660-665, 2016
1642016
On the repair of redundant RAM's
CL Wey, F Lombardi
IEEE transactions on computer-aided design of integrated circuits and …, 1987
1611987
Design of sequential circuits by quantum-dot cellular automata
J Huang, M Momenzadeh, F Lombardi
Microelectronics journal 38 (4-5), 525-537, 2007
1592007
The system can't perform the operation now. Try again later.
Articles 1–20