Suivre
Ji-Hoon Kim
Ji-Hoon Kim
Autres nomsJihoon Kim
Adresse e-mail validée de kaist.ac.kr
Titre
Citée par
Citée par
Année
An ultra-low-power analog-digital hybrid CNN face recognition processor integrated with a CIS for always-on mobile devices
JH Kim, C Kim, K Kim, HJ Yoo
2019 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2019
412019
Z-PIM: A sparsity-aware processing-in-memory architecture with fully variable weight bit-precision for energy-efficient deep neural networks
JH Kim, J Lee, J Lee, J Heo, JY Kim
IEEE Journal of Solid-State Circuits 56 (4), 1093-1104, 2021
352021
A 0.8-V 82.9- W In-Ear BCI Controller IC With 8.8 PEF EEG Instrumentation Amplifier and Wireless BAN Transceiver
J Lee, KR Lee, U Ha, JH Kim, K Lee, S Gweon, J Jang, HJ Yoo
IEEE Journal of Solid-State Circuits 54 (4), 1185-1195, 2019
342019
A 13.7 TFLOPS/W floating-point DNN processor using heterogeneous computing architecture with exponent-computing-in-memory
J Lee, J Kim, W Jo, S Kim, S Kim, J Lee, HJ Yoo
2021 Symposium on VLSI Circuits, 1-2, 2021
322021
Z-PIM: An energy-efficient sparsity aware processing-in-memory architecture with fully-variable weight precision
JH Kim, J Lee, J Lee, HJ Yoo, JY Kim
2020 IEEE Symposium on VLSI Circuits, 1-2, 2020
252020
A 0.5-V sub-10-μW 15.28-mΩ/√ Hz bio-impedance sensor IC with sub-1° phase error
K Kim, JH Kim, S Gweon, M Kim, HJ Yoo
IEEE Journal of Solid-State Circuits 55 (8), 2161-2173, 2020
242020
A 1.02-μW STT-MRAM-Based DNN ECG Arrhythmia Monitoring SoC With Leakage-Based Delay MAC Unit
KR Lee, J Kim, C Kim, D Han, J Lee, J Lee, H Jeong, HJ Yoo
IEEE Solid-State Circuits Letters 3, 390-393, 2020
162020
A 0.5 V 9.26 μW 15.28 mΩ/√ Hz Bio-Impedance Sensor IC With 0.55° Overall Phase Error.
K Kim, JH Kim, S Gweon, J Lee, M Kim, Y Lee, S Kim, HJ Yoo
ISSCC, 364-366, 2019
122019
Accelerating Large-Scale Graph-Based Nearest Neighbor Search on a Computational Storage Platform
JH Kim, YR Park, J Do, SY Ji, JY Kim
IEEE Transactions on Computers 72 (1), 278-290, 2022
92022
ECIM: Exponent computing in memory for an energy-efficient heterogeneous floating-point DNN training processor
J Lee, J Kim, W Jo, S Kim, S Kim, HJ Yoo
IEEE Micro 42 (1), 99-107, 2021
92021
A 0.8 V 82.9 µW in-ear BCI controller system with 8.8 PEF EEG instrumentational amplifier and wireless BAN transceiver
J Lee, KR Lee, U Ha, JH Kim, K Lee, HJ Yoo
2018 IEEE Symposium on VLSI Circuits, 123-124, 2018
82018
Accelerating Large-Scale Nearest Neighbor Search with Computational Storage Device
JH Kim, YR Park, J Do, SY Ji, JY Kim
2021 IEEE 29th Annual International Symposium on Field-Programmable Custom …, 2021
52021
22.3 A 0.5 V 9.26 μW 15.28 mΩ/√ Hz Bio-Impedance Sensor IC With 0.55 Overall Phase Error
K Kim, JH Kim, S Gweon, J Lee, M Kim, Y Lee, S Kim, HJ Yoo
2019 IEEE International Solid-State Circuits Conference-(ISSCC), 364-366, 2019
42019
South Korea's Nationwide Effort for AI Semiconductor Industry
JH Kim, S Yoo, JY Kim
Communications of the ACM 66 (7), 46-51, 2023
32023
OmniDRL: An Energy-Efficient Deep Reinforcement Learning Processor With Dual-Mode Weight Compression and Sparse Weight Transposer
J Lee, S Kim, S Kim, W Jo, JH Kim, D Han, HJ Yoo
IEEE Journal of Solid-State Circuits 57 (4), 999-1012, 2022
22022
An energy-efficient floating-point DNN processor using heterogeneous computing architecture with exponent-computing-in-memory
J Lee, J Kim, W Jo, S Kim, S Kim, D Han, J Lee, HJ Yoo
2021 IEEE hot chips 33 symposium (HCS), 1-20, 2021
22021
A 0.82 μW CIS-Based Action Recognition SoC With Self-Adjustable Frame Resolution for Always-on IoT Devices
J Ryu, G Park, D Im, JH Kim, HJ Yoo
IEEE Transactions on Circuits and Systems II: Express Briefs 68 (5), 1700-1704, 2021
22021
A Dual-Mode Similarity Search Accelerator based on Embedding Compression for Online Cross-Modal Image-Text Retrieval
YR Park, JH Kim, J Do, JY Kim
2022 IEEE 30th Annual International Symposium on Field-Programmable Custom …, 2022
12022
An Ultra-low-power Mixed-mode Face Recognition Processor for Always-on User Authentication in Mobile Device
JH Kim, C Kim, K Kim, J Lee, HJ Yoo, JY Kim
JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE 20 (6), 499-509, 2020
12020
Trinity: In-Database Near-Data Machine Learning Acceleration Platform for Advanced Data Analytics
JH Kim, S Han, K Park, SY Ji, JY Kim
IEEE Access, 2023
2023
Le système ne peut pas réaliser cette opération maintenant. Veuillez réessayer plus tard.
Articles 1–20